新闻  |   论坛  |   博客  |   在线研讨会
FPGA练习1——分频器verilog HDL源码
herway99 | 2011-01-24 17:36:09    阅读:2026   发布文章

`timescale 1ns / 1ps
/*
 Engineer:Herway
 QQ:610491914
 http://shop60543409.taobao.com/
*/
module clkdiv(clk,rst_n,clk_div);
input clk;
input rst_n; //µÍµçƽ¸´Î»ÐźÅ
output clk_div; //·ÖƵÐźţ¬½Ó·äÃùÆ÷
reg[22:0] cnt; //·ÖƵ¼ÆÊýÆ÷
always@(posedge clk or negedge rst_n) //Òì²½¸´Î»
 if(!rst_n) cnt<=23'd0;
 else cnt<=cnt+1'b1;
 
reg clk_div;
always@(posedge clk or negedge rst_n)
 if(!rst_n) clk_div<=1'b0;
 else if (cnt==23'h7fffff) clk_div=~clk_div;  //ÿxÃëÈÃÐźŷ­×ªÒ»´Î
 
 //assign clk_div=clk_div_r;
endmodule

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
推荐文章
最近访客